AVS 65th International Symposium & Exhibition
    Plasma Science and Technology Division Friday Sessions
       Session PS-FrM

Paper PS-FrM2
Simulation of Pulsed Inductively Coupled Plasmas

Friday, October 26, 2018, 8:40 am, Room 104A

Session: Plasma Modeling
Presenter: Jun-Chieh Wang, Applied Materials
Authors: J.-C. Wang, Applied Materials
W. Tian, Applied Materials
S. Rauf, Applied Materials
S. Sadighi, Applied Materials
J.A. Kenney, Applied Materials
P.J. Stout, Applied Materials
V. Vidyarthi, Applied Materials
J. Guo, Applied Materials
K. Delfin, Applied Materials
N. Lundy, Applied Materials
Correspondent: Click to Email

Pulsed plasma processing has gained more attention lately in semiconductor industry due to its advantages over continuous wave (CW) plasmas processing. Pulsed plasma provides us with extra knobs to tailor the etching process to the desired specification, such as improved uniformity and depth loading. In this talk, a typical electronegative plasma at several mTorr with ICP source (Ws) + RF bias (Wb) of a few hundred Watts has been studied. The pulsing frequency of 1-10 KHz and duty cycle (DC) of 10% - 90% are used to investigate three pulsing schemes: source pulsing (pulsed source Ws + CW bias Wb), bias pulsing (pulsed bias Wb + CW source Ws), and their synchronized pulsing.

The plasma modeling code used in this talk, CRTRS, is a multi-dimensional hybrid plasma model. The model simultaneously solves the Poisson’s equation and continuity equation for all charged species; the drift-diffusion approximation and momentum equations are solved for electron and ion fluxes. After the potential, flux and charged density have been updated, the electron energy conservation equation is solved for electron temperature. A Monte Carlo model is used to compute the ion energy and angular distribution (IEAD) at the wafer over a pulse period. The time evolution of IEAD, as well as the fluxes of relevant ions and neutral radicals at the wafer, are recorded and coupled to a 3-dimensional feature scale model for later evaluation of different pulsing modes during the Si etch step. We found that when the source power is pulsed (pulsed Ws + CW Wb), plasma extinguishes during the pulse-off period, higher sheath voltage is produced up to a few kV at lower DC as a result of lower electron density to maintain the constant Wb. When the bias power is pulsed (pulsed Wb + CW Ws), plasms density is slightly modulated by the bias power, while sheath voltage increases up to the kV level during the pulse-on period. When the source and bias powers are synchronized, IEADFs are sensitive to the phase between powers. The simulation results from plasma and feature scale model provide guidance for further experimental testing. By focusing only on the promising concepts, we are able to speed up the research cycle and gain competitive advantages.