AVS 57th International Symposium & Exhibition
    Plasma Science and Technology Tuesday Sessions
       Session PS1-TuM

Paper PS1-TuM2
Sidewall Polymer Management of sub 20nm Shallow Isolation Trench (STI) Etch via Pulsed Plasma

Tuesday, October 19, 2010, 8:20 am, Room Aztec

Session: Advanced FEOL Etching II
Presenter: X. Hua, Applied Materials Inc.
Authors: X. Hua, Applied Materials Inc.
X. Ji, Applied Materials Inc.
J. He, Applied Materials Inc.
J.H. Choi, Applied Materials Inc.
A. Khan, Applied Materials Inc.
Correspondent: Click to Email

The thickness of sidewall polymer accumulated on feature is typically a few nanometers during plasma etching. How to manage this thin polymer layer becomes critical to successful pattern transfer by plasma etch, because it equals >10% of the actual feature size as semiconductor devices are aggressively scaled down below 20nm. The impact of this thin layer on conductance of species in the features is not negligible any more when feature size is shrunk below 20nm, especially in high aspect ratio applications. To make minimum depth loadings, i.e. intro cell/micro loadings, and ideal feature profiles are extremely challenging and strongly dependent on how we manage this sidewall polymer. In this wok, we will discuss how we can utilize pulsed plasmas to manage this polymer layer. Since the residence times of typical plasma etch conditions are in order of ms~s and the period of pulsed plasma is ~ms, species has extra time to move into or out of the feature when it is the off cycle, as compared conventional plasma sources of continuous wave mode. This unique property of pulsed plasma leads to 1) thinner polymer coverage (less redeposition, radicals moving out of the feature) or 2) thicker polymer accumulation (more deposition, radicals moving into the feature from the gas phase), depending on plasma conditions. Better introcell/ micro loadings, more rounded/less pinch off trench bottom are demonstrated by using low duty cycle (<60%) pulsed plasmas than continuous wave plasmas. The influence of duty cycles/frequency of the pulsed plasmas on feature profile, depth loading was investigated in details. In synchronized pulsed plasmas, continuous tapered trench profile is demonstrated with no pinchoff bottom. With optimized duty cycle ratio between source and bias powers, microloading is achieved below 5% of etch depth (>300nm). Pulsed plasma has shown enormous advantage over conventional continuous wave plasma source to control the pattern transfer of future semiconductor fabrications.