AVS 53rd International Symposium
    Plasma Science and Technology Friday Sessions
       Session PS1-FrM

Paper PS1-FrM2
Process Performance of H@sub 2@ Remote Plasma Based Photoresist Ashing Processes and Their Influence on ULK Materials Modifications

Friday, November 17, 2006, 8:20 am, Room 2009

Session: Plasma-Surface Interactions III
Presenter: M.S. Kuo, University of Maryland at College Park
Authors: M.S. Kuo, University of Maryland at College Park
G.S. Gottlieb, University of Maryland at College Park
P. Jiang, Texas Instruments
P. Lazzeri, ITC-irst, Center for Scientific and Technological Research, Italy
M. Bersani, ITC-irst, Center for Scientific and Technological Research, Italy
S. Pederzoli, ITC-irst, Center for Scientific and Technological Research, Italy
M. Anderle, ITC-irst, Center for Scientific and Technological Research, Italy
Correspondent: Click to Email

We have examined the damage introduced in a blanket ultralow-k (ULK) dielectric material (nanoporous silica - NPS) and compared it with that inflicted on a low-k (LK) material (chemical-vapor-deposited organosilicate glass - OSG) for remote plasma conditions used to ash 193 nm photoresist (PR). For different substrate temperatures and H@sub 2@-based ashing chemistries, we found little damage in ULK/LK materials for H@sub 2@-based ashing processes without N@sub 2@. The damage depth increased dramatically with N@sub 2@ addition to H@sub 2@, while the PR ashing rate did not increase with N@sub 2@ addition. For our remote plasma, elevated substrate temperature (200 to 275 °C) conditions, N@sub 2@ addition to H@sub 2@ is ineffective in reducing ULK damage relative to (PR) ashing rate. The higher activation energy of PR ashing (~0.4 eV) than that of ULK/LK damage introduction (~0.1 eV) for remote plasma processing favors a higher substrate temperature for ashing process optimization. In addition, to address issues connected with sequential plasma etching and ashing steps, we also investigated the effect of surface residues due to prior capacitively coupled plasma (CCP) etching on PR ashing process efficacy and ULK/LK damage. The application of these approaches to etching/ashing actual trench structures is also described. Finally, we used D@sub 2@ ashing processes to investigate the behavior of deuterium/hydrogen in ULK/LK materials.