AVS 52nd International Symposium
    Manufacturing Science and Technology Wednesday Sessions
       Session MS-WeA

Paper MS-WeA5
Sensing and Control Strategies for Spatially Programmable CVD

Wednesday, November 2, 2005, 3:20 pm, Room 207

Session: Metrology & Process Control for Advanced Manufacturing
Presenter: Y. Cai, University of Maryland
Authors: Y. Cai, University of Maryland
R. Sreenivasan, University of Maryland
R. Adomaitis, University of Maryland
G.W. Rubloff, University of Maryland
Correspondent: Click to Email

A multiplexed mass spectrometric gas sampling system was designed and implemented for real-time, in situ measurement of gas species concentrations in a spatially programmable chemical vapor deposition (SP-CVD) reactor, a new paradigm for equipment design based on a segmented gas injection showerhead with exhaust gas recirculation up through the showerhead (U.S. Patent No. 6,821,910). To extend chemical sensing and metrology techniques developed for conventional CVD reactors to this new reactor configuration, we have developed a multiplexed gas sampling system based on a dynamic simulation of the sampling system, and demonstrated it in the SP-CVD reactor. Built on a three-segment SP-CVD prototype reactor, the gas sampling system was used to assess experimentally reactant gas transport mechanisms, focusing on: (1) inter-segment gas diffusion through the gap between showerhead and wafer surface; and (2) gas back diffusion through the common exhaust volume above the showerhead. We quantified the contribution of each transport mechanism to gas phase composition measured in each segment by fixing the sampling tube position and varying the gap dimension between the wafer and the movable showerhead. W CVD experiments using H2 reduction of WF6 were used to establish a model describing the relationship between the time integrated HF reaction product signal from the mass spectrometer and post-process thickness measurements obtained from four-point-probe maps of sheet resistance. Thickness metrology with precision of 3-4% has been obtained, approaching the desired range of thickness control precision. We expect that this sensing methodology not only will enable real-time spatially-distributed end point control, but also will make it possible to guide rapid reprogramming of process recipes intended to achieve simultaneously high material quality and uniformity, or to serve as a valuable asset to potential combinatorial experimental capabilities of the SP-CVD reactor.