AVS 50th International Symposium
    Plasma Science and Technology Tuesday Sessions
       Session PS-TuP

Paper PS-TuP11
Fabrication of Carbon Nanowalls Using RF Plasma-Enhanced Chemical Vapor Deposition Assisted by Hydrogen Radical Injection@footnote 1@

Tuesday, November 4, 2003, 5:30 pm, Room Hall A-C

Session: Poster Session
Presenter: K. Shiji, Meijo University, Japan
Authors: K. Shiji, Meijo University, Japan
M. Hiramatsu, Meijo University, Japan
T. Kadoya, Meijo University, Japan
H. Amano, Meijo University, Japan
Y. Ando, Meijo University, Japan
M. Hori, Nagoya University, Japan
Correspondent: Click to Email

Carbon nanostructures are of tremendous interest from both a fundamental and an applied prospective. Recently, fabrication of two-dimensional carbon nanostructures (carbon nanowalls) was reported.@footnote 2@ The large surface area of carbon nanowalls may provide us various new applications. In the case of film formation using plasma-enhanced chemical vapor deposition (PECVD) technique, surface morphology can be effectively controlled not only by optimizing the substrate temperature and bias, but also by the inclusion of specific reactive species as appropriate to the film growth and nucleation. Previously we demonstrated the diamond growth using a unique PECVD system that consists of a parallel-plate radio-frequency (rf, 13.56 MHz) capacitively coupled plasma (CCP) assisted by a hydrogen (H) radical source.@footnote 3@ In this work, carbon nanowalls were successfully fabricated on silicon (Si) substrate using C@sub 2@F@sub 6@ rf-CCP assisted by H radical injection from H@sub 2@ inductively coupled plasma (ICP). Partial pressures of C@sub 2@F@sub 6@ and H@sub 2@ were 20 and 80 mTorr, respectively, and the total pressure was 100 mTorr. The rf powers of CCP and ICP were 100 and 400 W, respectively, and the substrate temperature was 500 °C. Growth experiments were conducted for 2 hours. Carbon nanowalls were grown vertically on the Si substrate without catalyst. The thickness of these carbon nanowalls grown was 10-30 nm, and their height was about 300 nm. The aggregation of carbon nanowalls would be useful as templates for the fabrication of other types of nanostructured materials. In the case of the deposition without ICP, on the other hand, carbon nanowalls were not fabricated. @FootnoteText@ @footnote 1@This work was supported by 21st century COE program, Nano Factory.@footnote 2@Y.H. Wu, et al., Adv. Mater., 14 (2002) 64. @footnote 3@M. Hiramatsu, et al., Rev. Sci. Instrum., 67 (1996) 2360.