AVS 50th International Symposium
    Manufacturing Science and Technology Tuesday Sessions
       Session MS-TuA

Invited Paper MS-TuA5
The Future of Semiconductor Lithography

Tuesday, November 4, 2003, 3:20 pm, Room 309

Session: Directions in Semiconductor Device Scaling for the Next Decade
Presenter: W.J. Trybula, International SEMATECH
Correspondent: Click to Email

The technology acceleration of the semiconductor industry has placed tremendous pressures on both equipment suppliers and manufacturers. Reviewing the International Technology Roadmap for Semiconductors (ITRS) readily demonstrates this advance in technology through acceleration. By examining the market pressures, an understanding of the forces driving the semiconductor manufacturers can be obtained. The result of these pressures is demonstrated by the Lithographic exposure tools that are currently under development. 193nm tools are being introduced into widespread manufacturing. 157nm lithography is being developed for introduction in late 2005 or early 2006 with production insertion slated for 2007. Extreme UltraViolet (EUV) is also under development for with plans manufacturing insertion in 2009. In addition, Electron Projection Lithography (EPL), Maskless Lithography (MML), and nano-Imprint Lithography are all being pursued. This paper provides an overview of the technologies being developed. Details of each are provided, which encompass the methods of operation, the key drivers for each technology, the mask requirements, the advantages of the technology, and projected insertion timing based on the exposure tool manufacturers' estimates. A summary will be provided that shows the time scale of each of the technologies for insertion. The key challenges for the technologies will highlight the areas of prime consideration. A final table will be provided that estimates the total industry cost to develop the technologies that are under primary consideration for insertion in the next few years.