AVS 64th International Symposium & Exhibition
    Plasma Science and Technology Division Friday Sessions
       Session PS+NS+SS+TF-FrM

Paper PS+NS+SS+TF-FrM9
Defectless Nanostructure Patterning of Germanium Using Neutral Beam Etching for Ge FinFET Devices

Friday, November 3, 2017, 11:00 am, Room 23

Session: Atomic Layer Etching II
Presenter: Shuichi Noda, Tohoku University, Japan
Authors: S. Noda, Tohoku University, Japan
W. Mizubayashi, AIST, Japan
K. Endo, AIST, Japan
S. Samukawa, Tohoku Univeversity, AIST, Japan
Correspondent: Click to Email

Germanium FinFET has been becoming a promising candidates for highly scaled CMOS FETs due to large carrier mobility. However, etching mechanisms of Ge and optimization of etching method have not investigated deeply. We have already succeeded to apply a neutral beam etching (NBE) method to Si FinFET fabrication processes and shown excellent device performances owing to low-damage properties of NBE [1]. Since Ge is much more unstable material to apply to FET devices than Si, there must be much more advantages to use NBE method.

The NBE system consists of an inductive coupled plasma (ICP) source and a carbon aperture plate (neutralization plate) on which enormous number of high aspect ratio aperture holes are opened. Positive and negative chlorine ions generated in a pulse time modulated ICP are accelerated toward the aperture plate and effectively converted to the neutral beam by applying rf field on the aperture plate. Etching substrates beneath the aperture plate are etched mainly by directional chlorine neutral beams without any risky matters such as charged particles (electrons and ions) and irradiation of vacuum ultra-violet (VUV) light. We consider that the VUV irradiation has notable effect on the etching characteristics and defect generation that influences device performances.

We compared etching characteristics of Ge between the NBE and a plasma etching using the same ICP source. It was found that the Ge etching rate of NBE was about one order of magnitude smaller than that of the plasma etching. We consider that such a large differences is caused by surface defects induced by the VUV irradiation. Under the VUV irradiation, surface defects, that is high density dangling bonds of Ge, are created and the defect sites react with chlorine radicals actively and the chlorinated layer are etched off by the ion bombardment in the case of the plasma etching. On the other side, the Ge surface exposed to the neutral beam seems to be chlorinated more slowly and probably the chlorinated layer is much thinner than the plasma-like conditions. And the etching reaction occurs by the chlorine neutral atom beam bombardment. This smaller etch rate around 20 nm/min seems a little bit inefficient however it can be said this small etch rate is much more useful characteristics for the nanometer scale low damage etching processes. AFM observation showed that the etched surfaces by NBE were much smoother than that by the plasma etching. Etched side wall (to be Ge channel surface) by NBE were also very smooth and vertical. High magnification TEM images showed substantially atomic revel smooth side wall.

[1] K. Endo et al., IEDM Tech. Dig. (2005) pp. 840-843.