AVS 64th International Symposium & Exhibition
    Plasma Science and Technology Division Friday Sessions
       Session PS+NS+SS+TF-FrM

Paper PS+NS+SS+TF-FrM8
Atomistic Simulations of H2 Plasma Modification of SiN Thin-Films for Advanced Etch Processes

Friday, November 3, 2017, 10:40 am, Room 23

Session: Atomic Layer Etching II
Presenter: Vahagn Martirosyan, LTM, Univ. Grenoble Alpes, CEA-LETI, France
Authors: V. Martirosyan, LTM, Univ. Grenoble Alpes, CEA-LETI, France
E. Despiau-Pujo, LTM, Univ. Grenoble Alpes, CEA-LETI, France
O. Joubert, LTM, Univ. Grenoble Alpes, CEA-LETI, France
Correspondent: Click to Email

Due to high ion bombardment energies and significant fragmentation rates, conventional continuous wave (CW) plasma processes are not able to selectively etch ultra-thin films without damaging the active layers of advanced nanoelectronic devices. In particular, silicon nitride or low-k spacers etching must be performed with nanoscale-precision without creating defects to the underlayer substrate, to preserve device performances and be compatible with epitaxial steps. To solve this problem, one possible alternative is to use a recently developed etch technology, which consists of two steps [1]. In the first step, the material to be etched is exposed to a hydrogen (H2) or helium (He) ICP or CCP plasma; in the second step, the modified material is chemically etched by wet cleaning or exposure to gaseous reactants only.

Due to the complexity of plasma-material interactions, the successful development of such a new etch approach requires a more detailed understanding of the fundamental mechanisms involved in the process. Therefore, we develop Molecular Dynamics (MD) simulations to study the Si-N-He and Si-N-H systems and provide an overview of the reaction processes at the atomic scale. The objective is to understand the role of ion energy in the self-limited ion implantation, and to determine the relationship between the flux/energy of plasma species bombarding the surface and its chemical/structural modifications.

In this work, we investigate the interaction between hydrogen plasma species (Hx+ ions and H radicals) and silicon nitride via MD simulations. We first study the impact of ion energy (5-100eV), ion dose and ion type on a SiN substrate only exposed to ion bombardment. Then, the influence of a mixed exposure to both Hx+ ions and atomic H is investigated to observe how the hydrogen plasma composition will affect the SiN substrate modification. For pure ion bombardment conditions, simulations show an initial Hx+ ion implantation followed by the formation of a stable modified layer at steady state. Few or no SiN etching is observed for ion energies >25eV, which shows that hydrogen ions only induce a volume transformation and can modify the SiN substrate on a precise depth without etching it. By contrast, simulations of mixed ion/radical bombardment show that a high concentration of atomic hydrogen can crucially change the evolution of the substrate, since H radicals are able to slowly etch SiN along with the modification caused by Hx+ ions. Mechanisms of aforementioned phenomena, as well as comparison with experiments, will be discussed during the presentation.

1. N. Posseme, O. Pollet, S. Barnola, Applied Physics Letters 105, 051605 (2014)