AVS 61st International Symposium & Exhibition
    Plasma Science and Technology Tuesday Sessions
       Session PS-TuA

Paper PS-TuA3
Plasma Etch Considerations for Roughness Improvements during EUV and DSA Pattern Transfer using Mid Gap CCP

Tuesday, November 11, 2014, 3:00 pm, Room 308

Session: Advanced BEOL/Interconnect Etching
Presenter: Vinayak Rastogi, TEL Technology Center, America, LLC
Authors: V. Rastogi, TEL Technology Center, America, LLC
H. Matsumoto, TEL Technology Center, America, LLC
A. Metz, TEL Technology Center, America, LLC
A. Ranjan, TEL Technology Center, America, LLC
N. Mohanty, TEL Technology Center, America, LLC
A. Ko, TEL Technology Center, America, LLC
Y. Chiba, TEL Technology Center, America, LLC
X. Hu, GLOBALFOUNDRIES U.S. Inc.
L. Wang, GLOBALFOUNDRIES U.S. Inc.
E. Hosler, GLOBALFOUNDRIES U.S. Inc.
R. Farrell, GLOBALFOUNDRIES U.S. Inc.
M. Preil, GLOBALFOUNDRIES U.S. Inc.
Correspondent: Click to Email

As the semiconductor manufacturing industry is gearing toward sub-30nm technology nodes, there are continuous efforts to establish alternative patterning strategies other than optical lithography. Extreme Ultraviolet (EUV) Lithography, 193nm Immersion augmented with multiple patterning schemes (‘Self Aligned Double Patterning – SADP’, ‘Self Aligned Quadruple Patterning – SAQP’) and ‘Directed Self Assembly – DSA’ are considered to be promising candidates. However, these methodologies come with challenges posed in the form of polymer to polymer selectivity, mask budget, incoming defectivity, mask shape, critical dimension control, line edge roughness (LER) and line width roughness (LWR) of ever decreasing feature sizes. These issues can be addressed by using spatially uniform low density plasma obtained in a dual frequency mid-gap capacitively coupled plasma etcher.
Here, the unique advantages of TEL Etch Systems and parametric considerations is demonstrated to reduce LER and LWR during plasma etch pattern transfer for two example cases, EUV resist patterning for contact hole patterning and Directed Self Assembly of PS-b-PMMA system for line space patterning. Since mask thickness is much thinner as compared to currently used deep ultraviolet lithography (DUV) resist, both systems require high resist/polymer selectivity during plasma etch of patterns. Also, fine ion/radical flux ratio tunability is required to maintain the pattern profile. Direct current superposition (DCS) on capacitive-coupled plasma (CCP) can enhance the etch resistance of resist and it can improve contact edge roughness (CER). In the case of an applied DCS cure, we confirmed EUV resist etch resistance enhancement and CER improvement. Additionally, we investigated which parameter is dominant for EUV resist cure. For DSA related etching, we have successfully demonstrated pattern transfer into metal hard mask for BEOL application and non-metal hard mask for FEOL applications using TEL Etch Systems. Specifically, the effect of deposition rich gases is investigated for preferential passivation of mask during etching of PS-PMMA films in an oxidizing plasma. This work was performed by the research teams of Tokyo Electron and GLOBALFOUNDRIES at Albany Nanotech Development Facilities.