AVS 62nd International Symposium & Exhibition
    Plasma Science and Technology Tuesday Sessions
       Session PS-TuM

Paper PS-TuM3
Challenges for the sub-32nm Pitch Self-aligned Quadruple Patterning (SAQP) at Back End of Line (BEOL)

Tuesday, October 20, 2015, 8:40 am, Room 210B

Session: Advanced BEOL/Interconnect Etching
Presenter: Nihar Mohanty, TEL Technology Center, America, LLC
Authors: N. Mohanty, TEL Technology Center, America, LLC
R. Farrell, TEL Technology Center, America, LLC
A. Raley, TEL Technology Center, America, LLC
E. Franke, TEL Technology Center, America, LLC
J. Smith, TEL Technology Center, America, LLC
S. Song, TEL Technology Center, America, LLC
A. Ko, TEL Technology Center, America, LLC
A. Ranjan, TEL Technology Center, America, LLC
A. deVilliers, TEL Technology Center, America, LLC
P. Biolsi, TEL Technology Center, America, LLC
W. Wang, Globalfoundries, Ny, Usa
G. Beique, Globalfoundries, Ny, Usa
C. Labelle, Globalfoundries, Ny, Usa
L. Sun, Globalfoundries, Ny, Usa
R. Kim, Globalfoundries, Ny, Usa
Correspondent: Click to Email

Critical back end of line (BEOL) Mx patterning at 7nm technology node requires sub-36nm pitches necessitating the use of either extreme ultraviolet (EUV) lithography or 193nm-immersion-lithography based self-aligned quadruple patterning (SAQP). With enormous challenges being faced in getting EUV lithography ready for production, SAQP is expected to be the front up approach for Mx grid patterning for most of industry. In contrast to the front end of line (FEOL) fin patterning, which has successfully deployed SAQP approach since 10nm node technology, BEOL Mx SAQP is challenging owing to the required usage of significantly lower temperature budgets for film stack deposition. This has an adverse impact on the material properties of the as-deposited films leading to emergence of several challenges for etch including selectivity, uniformity and roughness.

In this presentation we will highlight those unique etch challenges associated with our BEOL Mx SAQP patterning strategy and summarize our efforts in optimizing the etch chemistries, process steps and plasma etch parameters for meeting the 7nm technology node targets. With the mandrel definition parameters being the most significant input function for final pattern performance, we will detail our efforts in improving the CD uniformity (CDU), profile and line edge roughness (LER) / line width roughness (LWR) for both the mandrel definition etches. All of the etch development for this work has been conducted in our dual frequency capacitively coupled plasma (CCP) chamber with optimized gap for good baseline uniformity and independent ion flux & energy control.