AVS 62nd International Symposium & Exhibition
    Helium Ion Microscopy Focus Topic Thursday Sessions
       Session HI+AS+SS+NS-ThM

Paper HI+AS+SS+NS-ThM13
Improving Pattern Fidelity in Helium Ion Beam Lithography using Pixel Dose Optimization

Thursday, October 22, 2015, 12:00 pm, Room 211B

Session: Focused Ion Beam Technology (08:00-10:00)/Fundamentals of Helium Ion Microscopy (11:00-12:20)
Presenter: Paul Alkemade, TU Delft, Netherlands
Authors: N. Kalhor, TU Delft, Netherlands
W. Mulckhuyse, TNO Technical Sciences, Netherlands
P. Alkemade, TU Delft, Netherlands
D. Maas, TNO Technical Sciences, Netherlands
Correspondent: Click to Email

Scanning Helium ion beam lithography (SHIBL) with a sub-nanometer beam probe size at the sample surface is a promising technology for high-resolution lithography with high pattern density.1 The advantages of SHIBL compared to e-beam lithography are higher sensitivity and a lower proximity effect. Remarkably, there are unique similarities in the activation response of resists to He-ions and extreme-ultraviolet (EUV) photons in EUV lithography (EUVL). Both primary beams produce low energy secondary electrons (SEs) and are not hindered by proximity effect. Recently Maas et al. experimentally demonstrated these similarities and suggested SHIBL as a promising method for pre-screening chemically amplified resists (CARs) prior to their final performance evaluation in an EUV scanner.2

However, unlike an EUV photon which only interacts with one resist molecule, an He-ion scatters inelastically in the resist and causes a chain of collisions with resist molecules, producing one or more SEs per collision. Also, a small dose-to-clear of 0.085 ions/nm2 for SHIBL in a CAR was measured.2 Hence, Maas et al. hinted at ion shot noise as a limiting factor in pattern fidelity in SHIBL.2

Here, we present a heuristic resist activation model for single-pixel dose SHIBL. The model employs a point-spread function (PSF) to account for all contributing factors in the resist activation. Ion shot noise impact is modeled with Poisson statistics. We show a good agreement between the model and our experimental single-pixel dose SHIBL results for line-and-space (LS) and contact hole patterns. Our model indicates pattern fidelity in sensitive CAR is not only limited by ion shot noise; instability of the He-ion source emission and post-exposure resist processing can also play important roles. Moreover, we introduce optimized-pixel-dose SHIBL to improve critical dimension uniformity (CDU), line width roughness (LWR), exposure latitude and throughput gain. In this approach, we calculate an optimum ion dose map for a given binary pattern such that the pattern’s edges are exposed at the steepest part of the PSF to improve resist-pattern contrast and to minimize ion shot noise effect. Pixel dose optimization is advantageous to single-pixel exposure when the feature size is larger than the FWHM of the PSF. We discuss this by comparing our modeling results for single-pixel and optimized-pixel-dose SHIBL exposure modes for a desired LS pattern. We show that pixel-dose optimization could reduce LWR by ~45% (~1.3 nm) with a concurrent 20% dose reduction.

1V. Sidorkin et al., J. Vac. Sci. Technol. B 27, L18 (2009)

2Maas et al., SPIE Proc. 9048, 90482Z (2014)