AVS 60th International Symposium and Exhibition
    Applied Surface Science Thursday Sessions
       Session AS-ThP

Paper AS-ThP15
Selective Chemistry for the Atomic Layer Deposition (ALD) of Alumina Oxide on Silicon Surfaces

Thursday, October 31, 2013, 6:00 pm, Room Hall B

Session: Applied Surface Science Poster Session
Presenter: L. Guo, University of California, Riverside
Authors: L. Guo, University of California, Riverside
F. Zaera, University of California, Riverside
Correspondent: Click to Email

In search for a way to modify SiO2-based surfaces to prepare them for selective film deposition, silylation and UV/ozonolysis treatments were tested on different silicon oxide and ultra-low k SiCOH surfaces. The chemical behavior of surfaces treated with hexamethyldisilazane (HMDS) and octadecyltrichlorosilane (ODTS), two common silylation agents, was first investigated by contact angle measurements and attenuated total reflection infrared absorption spectroscopy (ATR-IR). Silylation with agents such as these are expected to block the surface hydroxyl sites believed to act as nucleation sites for film growth. Addition of the silanes was confirmed by ATR, after which the contact angle of the silicon surfaces became larger. This indicates an increase in hydrophobicity, which means that most if not all of the surface hydroxyl groups become covered and unavailable for atomic layer deposition (ALD) film growth. These and other hydrophobic surfaces were then treated with a combination of ozone and ultraviolet (UV) light for up to 45 min in order to return their hydrophilicity and to reactivate them for film deposition. Indeed, these treatments led to a decrease in contact angle with exposure time, which was varied from 5 to 35 min; no further changes in the contact angle were seen after exposures for more than 35 min. The silane-coated silicon samples exposed to the silylation agents and to the UV/ozone treatment are currently being tested for the selective ALD of metal oxide films.