AVS 59th Annual International Symposium and Exhibition
    Electronic Materials and Processing Monday Sessions
       Session EM+TF+OX+GR-MoA

Paper EM+TF+OX+GR-MoA10
Effect of a H2 Plasma Pre-treatment on the Reduction of Native Oxides at the PEALD Al2O3/InAs Interface

Monday, October 29, 2012, 5:00 pm, Room 009

Session: High-k Dielectrics for MOSFETS II
Presenter: E. Cleveland, Naval Research Laboratory
Authors: E. Cleveland, Naval Research Laboratory
L. Ruppalt, Naval Research Laboratory
J.B. Boos, Naval Research Laboratory
B. Bennett, Naval Research Laboratory
J. Champlain, Naval Research Laboratory
S.M. Prokes, Naval Research Laboratory
Correspondent: Click to Email

The integration of high-k dielectrics with high mobility III-V semiconductor materials has attracted extensive interest recently as an alternative to Si-based complementary metal-oxide semiconductor (CMOS) applications at the 16 nm node and beyond. Among the III-V semiconductors, InAs is a promising material as the channel material in metal-oxide-semiconductor field-effect transistors (MOSFETs) due to its extremely high electron mobility and high saturation velocity. However, problems arise in the fabrication of high performance channel MOSFETS due to the poor quality of the gate oxide/InAs interface. InAs has a highly reactive surface and on exposure to air will form a native oxide layer composed of In<sub>2</sub>O<sub>3</sub> and As<sub>2</sub>O<sub>3</sub>. The complexity of these native oxides leads to the formation of a relatively high density of interface states which in turn act as charge traps thus pinning the Fermi level and degrading device performance. Wet-chemical treatments based on HCl and (NH4)2S have been found to be an effective means of removing these oxides, however, due to the rapid re-oxidation and lack of reproducibility a better means of interface cleaning is needed. Recently, there has been much interest in the field of surface cleaning combined with atomic layer deposition (ALD) in order to deposit high quality dielectrics on III-V semiconductor materials, such as InAs and GaSb. Therefore, we examined the use of a H<sub>2</sub> plasma as a means to obtain an oxide-free InAs interface prior to the deposition of high-k Al<sub>2</sub>O<sub>3</sub> via plasma enhanced atomic layer deposition (PEALD). Ex-situ XPS, AFM, and C-V measurments were performed to establish the effect of the plasma exposure time, temperature and rf power on the removal of the native oxide. It will be demonstrated that by removing or reducing the native oxides on the InAs surface that the density of interface defects at the Al<sub>2</sub>O<sub>3</sub>/InAs interface can be reduced and enhance the electrical performance. Similar work done on GaSb will be discussed, where XPS spectra revealed a significant reduction in Sb-O features for longer H<sub>2</sub> plasma exposures as the peaks associated with Ga-O increased. C-V measurements of fabricated MOSCAPS also found that samples treated with longer H<sub>2</sub> plasma exposures exhibited better C-V characteristics.