AVS 50th International Symposium
    Microelectromechanical Systems (MEMS) Tuesday Sessions
       Session MM-TuA

Paper MM-TuA1
Development of a Deep Phase Fresnel Lens in Silicon

Tuesday, November 4, 2003, 2:00 pm, Room 320

Session: Fabrication and Characterization of MEMS Devices
Presenter: B. Morgan, University of Maryland, College Park
Authors: B. Morgan, University of Maryland, College Park
C.M. Waits, University of Maryland, College Park
J. Krizmanic, NASA - Goddard Spaceflight Center
R. Ghodssi, University of Maryland, College Park
Correspondent: Click to Email

Astronomical observations at Gamma and hard X-ray energies are presently hindered by instruments with low sensitivity and poor angular resolution. Fresnel Zone Plates and their derivatives, could achieve higher sensitivity and greater angular resolution.@footnote 1@ For ground testing of a Phase Fresnel Lens (PFL), lateral dimensions of each lens feature must be on the order of 10 µm, while vertical dimensions must be >20 µm, both a natural fit for MEMS processing. Silicon, the standard material used in MEMS, has low absorption of Gamma and X-ray radiation, making it a good material choice for the fabrication of a PFL for ground testing. Gray-scale technology was selected as the fabrication method for developing such a lens because of two main advantages: (1) the multiple heights required for increased efficiency may be fabricated without alignment, and (2) Deep Reactive Ion Etching (DRIE) with precise selectivity control enables the fabrication of deep (>20 µm) silicon lenses. Multiple PFL's, with diameter >1.6mm and varying heights, have been successfully fabricated in silicon. The optimization of gray-scale lithography processing for large-scale structures was realized through the use of a custom calibration mask. Advanced gray-scale optical mask design allows the fabrication of small gray level geometries over a large area, enabling precise profile control to maximize lens efficiency. Depending on target photon energy, etch depths required to produce the appropriate phase shift in silicon have been between 20 and 100 µm. Highly accurate vertical dimension control is also necessary to ensure the proper interference pattern at the lens focus. Therefore, PFL height was controlled by finely tuning etch selectivity during DRIE, which adjusts the scaling factor between photoresist and silicon, and provides the appropriate PFL profile in silicon. @FootnoteText@ @footnote 1@G.K. Skinner, Astronomy & Astrophysics, v.375, no.2, 2001, p.691-700.