AVS 61st International Symposium & Exhibition
    Surface Science Friday Sessions
       Session SS+EM-FrM

Paper SS+EM-FrM8
Selective Wet Etching of III-V Semiconductors with HCl, H2O2, and α-Hydroxy Acid Mixtures

Friday, November 14, 2014, 10:40 am, Room 309

Session: Semiconductor Surfaces and Interfaces 2
Presenter: Pablo Mancheno-Posso, University of Arizona
Authors: P.L. Mancheno-Posso, University of Arizona
R. Jain, University of Arizona
A.J. Muscat, University of Arizona
Correspondent: Click to Email

The higher electron mobility of III-V semiconductors makes them suitable for NMOS devices in CMOS transistor technology. A clean, smooth and well-defined semiconductor surface is needed for epitaxial growth of heterostructures and atomic layer deposition of dielectrics. Device fabrication also requires selective etching and smooth finishing of layers composed of different materials. Wet chemical treatments enable batch processing, and aqueous mixtures containing an oxidizing agent and an etchant (acid or base) have been developed for III-V materials. The (100) face of the binary III-V semiconductors contains both group III (electron-deficient) and V (electron-rich) atoms. HCl solutions favor the removal of the latter. The addition of α-hydroxy acids (citric and tartaric acids) to the etching mixture is expected to promote a more uniform etch by chelating the group III atoms. In this study, we compare the etching rates of the (100) faces of GaAs, InAs, InP, InSb and GaSb, using aqueous solutions of HCl (0.01-4 M), H2O2 (0.01-8 M), and tartaric and citric acids (0.1-1.5 M). The etching rate was determined by profilometry measurements of the step height on patterned substrates. The chemical composition of the surface was studied using XPS, and the surface topography and roughness were characterized with AFM. The etching rate of GaAs in HCl-H2O2 mixtures was independent of HCl concentration (0.1-4 M) and showed a linear dependence on H2O2 concentration (0.1-8 M). Etching was limited by the removal of water-soluble Ga and As chlorides formed from GaAs oxides. InP etching was independent of HCl concentration (1-5 M), but sharply increased for 6-7 M. H2O2 concentration showed no significant effect on InP etching. The GaSb etching rate depended linearly on H2O2 concentration but in a narrower range (0.1-0.5 M). The etching of InP and GaSb is limited by the removal of the group III atoms. Etching of GaAs and InAs is limited by the removal of the group V atom. The strong bond that As makes with O drives etching. The etching selectivity of GaAs to InP increased from about 15 to 250 when the H2O2 concentration was raised from 1 to 8 M at a fixed 1.76 M HCl concentration. The addition of tartaric or citric acid to HCl and H2O2 mixtures yielded no change in the etching rate of GaAs when compared to solutions containing HCl and H2O2 only, but preferentially removed Ga atoms, yielding smoother surfaces at low concentrations. Using a chelator etched InAs with high selectivity relative to InP without as much roughening as with HCl. Selective etching will be discussed in the context of a set of proposed mechanisms based on the data.