AVS 61st International Symposium & Exhibition
    Plasma Science and Technology Monday Sessions
       Session PS-MoA

Paper PS-MoA11
Alternative Process for Thin Layer Etching: Application to Nitride Spacer Stopping on Silicon Germanium

Monday, November 10, 2014, 5:20 pm, Room 308

Session: Advanced FEOL/Gate Etching
Presenter: Nicolas Posseme, CEA-LETI, France
Authors: N. Posseme, CEA-LETI, France
G. Santini, CEA-LETI, France
O. Pollet, CEA-LETI, France
C. Arvet, CEA-LETI, France
S. Barnola, CEA-LETI, France
Correspondent: Click to Email

Today, minimizing the so-called silicon germanium (or silicon) recess during nitride spacer etching is extremely difficult to achieve but mandatory since it directly impacts the device performances. Despite of etch chemistry or tool improvement, this silicon germanium recess is only limited.

In this context, we proposed an alternative etching process to overcome these issues and meet the highly complex requirements imposed by device fabrication processes. This new etching process is based on two steps. In a first step, the film is modified in volume by a H2 plasma performed in a conventional etch tool (ICP or RIE) followed in a second step by a selective removal of the modified layer with respect to the non-modified material.

In this study, we will present this alternative process for nitride spacer etching stopping on silicon germanium for FDSOI devices. It will be demonstrated that the silicon nitride film modification can be adjusted by playing on plasma parameters. XPS and infrared spectroscopy analyses have been performed on blanket silicon nitride film to understand the silicon nitride film modification induced by H2 plasma . These mechanisms of the silicon nitride film damage will be discussed.

In the meantime, different approaches (dry or wet) to remove the modified silicon nitride film without non-modified nitride or silicon germanium films consumption have also been investigated. The advantages and the drawbacks of these approaches will be presented.

Starting from the best process conditions (modification and removal steps), TEM analyses performed on patterned structures have revealed that the silicon germanium recess is less than 5A for a wide range of nitride film over etch (from 30 to 120%) with no foot formation compared to more than 15A recess and 20 A foot formation using the best current etching processes (CH3F/O2/He chemistries targeting 50% over etch).

Finally, the compatibility of this new nitride spacer etching process on SiGe epitaxial growth quality will also be presented. It will be shown, that the clean surface obtained after the modified nitride film removal leads to a perfect epitaxial growth for different silicon nitride over etch from 30 to 120%.