AVS 61st International Symposium & Exhibition
    Helium Ion Microscopy Focus Topic Thursday Sessions
       Session HI+2D+AS+MC-ThA

Paper HI+2D+AS+MC-ThA8
Evaluation of EUV Resist Performance below 20-nm CD using Helium Ion Lithography

Thursday, November 13, 2014, 4:40 pm, Room 316

Session: Nanoengineering with Helium Ion Beams
Presenter: Nima Kalhor, TU Delft, Netherlands
Authors: D.J. Maas, TNO Technical Sciences, Netherlands
N. Kalhor, TU Delft, Netherlands
W. Mulckhuyse, TNO Technical Sciences, Netherlands
E. van Veldhoven, TNO Technical Sciences, Netherlands
A. van Langen–Suurling, TU Delft, Netherlands
P.F.A. Alkemade, TU Delft, Netherlands
S. Wuister, ASML, Netherlands
R. Hoefnagels, ASML, Netherlands
C. Verspaget, ASML, Netherlands
J. Meessen, ASML, Netherlands
T. Fliervoet, ASML, Netherlands
Correspondent: Click to Email

For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This development involves studies into sensitivity, resolving power and pattern uniformity. We have used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists.

There are remarkable similarities in the response of resists to He+ ions and EUV photons. Both primary particle beams traverse the resist and meanwhile interact with the target atoms. The low backscattering of the He+ ions results in ultra-low proximity effects, which is similar to EUV exposure s . Absorption of an EUV photon creates a high-energy electron that relaxes by the excitation of Secondary Electrons (SEs). A collision of a 20-30 keV helium ion with a target atom directly releases low-energy SEs. Each ion scatters several times in the resist layer, thus enabling resist exposures at very low doses per CH . The energy spectra of SEs generated by EUV and He+ are remarkably alike. These SEs, in turn, activate the resist.

In this paper we show 30 keV He+ ions exposures of contact holes and lines with a CD of 8 – 30 nm at 20 nm half-pitch in a chemically amplified EUV resist. We will demonstrate the potential of using He+ ion lithography [1,2] in the study of EUV resists.

[1] V. Sidorkin et al., Sub-10-nm nanolithography with a scanning helium beam, J. Vac. Sci. Technol. B 27, L18 (2009)

[2] D. Maas et al., Evaluation of EUV resist performance below 20nm CD using helium ion lithography, SPIE Proc. 9048, 90482Z (2014)