AVS 58th Annual International Symposium and Exhibition
    Plasma Science and Technology Division Monday Sessions
       Session PS-MoM

Paper PS-MoM1
Impact of Synchronized Plasma Pulsing Technologies on Key Parameters Governing STI Etch Processes

Monday, October 31, 2011, 8:20 am, Room 201

Session: Advanced FEOL / Gate Etching I
Presenter: Moritz Haass, LTM-CNRS, France
Authors: M. Haass, LTM-CNRS, France
M. Darnon, LTM-CNRS, France
G. Cunge, LTM-CNRS, France
P. Bodart, LTM-CNRS, France
C. Petit-Etienne, LTM-CNRS, France
M. Brihoum, LTM-CNRS, France
L. Vallier, LTM-CNRS, France
S. Banna, Applied Materials, Inc.
O. Joubert, LTM-CNRS, France
Correspondent: Click to Email

Plasma etch processes are the only technological solution to address the critical dimension control at the nanometer range imposed by the continuous downscaling of CMOS device dimensions in microelectronics. However, the current processes are reaching their limits of controlling etch selectivity, defects, critical dimensions and uniformity. Lately, pulsed plasmas are increasingly used to overcome some of these limitations. On the basis of HBr/O2 plasmas, dedicated to STI (Shallow Trench Isolation) etching, we discuss the role of the pulse parameters regarding the etched profiles, the reactive etch layer, the uniformity and the passivation layer. To investigate the passivation layer at various trench depths and CDs we developed a new XPS technique for quasi in-situ chemical topography analysis.

The experiments are carried out in a 300 mm AdvantEdgeTM tool from Applied Materials Inc. The two generators to sustain the plasma (ICP) and to polarize the wafer (CCP), operated at 13.56 MHz, have been modified with the PulsyncTM system to allow pulsing at a wide range of parameters. However, only synchronous pulsing is studied here. Moreover, a Theta300 angle resolved XPS system from Thermo VG is connected under vacuum, allowing quasi in-situ analysis of an etched sample. Several additional diagnostic methods including time resolved UV-absorption, mass spectroscopy and ellipsometry are used to study the impact of pulsing the plasma on the surface and the gas phase compared to a continuous wave process.

We demonstrate that plasma pulsing can minimize the mask faceting and consumption which is due to a change in the ion energy distribution and the presence of larger molecular ion species. The duty cycle controls the formation of the passivation layer that governs in turn the form of the etched profiles. This correlation can partly be explained by less dissociated oxygen molecules in low duty cycle pulsing. Nevertheless, XPS analyses show that the chemical composition of the passivation layer changes little with height and trench CD while its thickness is directly linked to the aspect ratio of the analyzed part of the sidewall. Less thickness variation with respect to the aspect ratio is observed in pulsed mode, which explains an improved local uniformity in profile evolution. Furthermore, the time compensated etch rate with respect to the actual ON time of the plasma is increasing at low duty cycles due to a change of etch regime from more radical flux limited to ion flux limited. Nevertheless, the overall process etch rate is decreasing in pulsed mode which can be partly counteracted by increasing the source and the bias power.