AVS 56th International Symposium & Exhibition
    Plasma Science and Technology Monday Sessions

Session PS1-MoM
Advanced Interconnect Etch

Monday, November 9, 2009, 8:20 am, Room A1
Moderator: M. Armacost, Applied Materials, Inc.


  Click here to Download program book for this session  
  in Adobe Acrobat format  

Click a paper to see the details. Presenters are shown in bold type.

8:20am PS1-MoM1 Invited Paper
Modification Mechanisms of Porous Low-k SiOCH Film during Plasma Ashing Processes
K. Kurihara, T. Imamura, K. Yamamoto, H. Hayashi, Y. Nakasaki, Toshiba Corp., Japan
9:00am PS1-MoM3
Oxygen Plasma and Radical Interactions with Ultralow-K Organosilicates; Fundamental Damage Mechanisms
J. Kelber, S. Behera, S. Manandhar, S. Gaddam, University of North Texas
9:20am PS1-MoM4
Synergistic Damage Effects of Vacuum Ultraviolet Photons and O2 in SiCOH Ultra-Low-k Dielectric Films
J. Lee, D.B. Graves, University of California-Berkeley
9:40am PS1-MoM5
Sidewall Modification of Porous SiOCH Ultra Low k Materials Induced by Reducing and Oxidizing Post Etching Plasma Treatments
R. Bouyssou, T. Chevolleau, CNRS-LTM, FRANCE, N. Posseme, T. David, Ch. Licitra, CEA-LETI-MINATEC, France, A. Ostrowsky, C. Verove, STMicroelectronics, France, O. Joubert, CNRS-LTM, France
10:00am PS1-MoM6
Reaction Mechanism and Profile Evolution for Porous Low-k Dielectric Sealing by Combined He and NH3 Plasma Treatment
J. Shoeb, Iowa State University, M.J. Kushner, University of Michigan
10:40am PS1-MoM8
Materials Interactions as a Challenge for BEOL RIE at 22nm Node and Beyond
Y. Yin, J.C. Arnold, IBM, K. Zin, C. Chu, Y. Feurprier, Tokyo Electron Limited, Japan, Y. Mignot, STMicroelectrics, M. Sankarapandian, J. Chen, X. Liu, IBM
11:00am PS1-MoM9
Challenges in Porous Ultra Low-k for 22nm Dual Damascene Trench Etch
Q. Zhou, R. Patz, A. Darlak, J. Pender, M. Armacost, Applied Materials, Inc., C. Labelle, GLOBALFOUNDRIES, D. Horak, IBM Research
11:20am PS1-MoM10
Sidewall Roughness Transfer during Advanced Interconnect Patterning: Impact of Masking Strategies and Plasma Etching Processes
J. Ducote, STMicroelectronics, France, T. David, N. Posseme, CEA-LETI-MINATEC, France, T. Chevolleau, LTM-CNRS, France, A. Ostrovsky, STMicroelectronics, France, M. Guillermet, CEA-LETI-Minatec, France, F. Bailly, STMicroelectronics, France, E. Pargon, R. Inglebert, LTM-CNRS, France, C. Verove, STMicroelectronics, France, O. Joubert, LTM-CNRS, France
11:40am PS1-MoM11
Post Etch Treatments as Solution to Limit or Prevent Residue Growth on Metallic Hard Mask after Porous SiOCH Etching in Fluorocarbon Based Plasma
N. Posseme, CEA-LETI-MINATEC, France, R. Bouyssou, T. Chevolleau, LTM-CNRS, France, T. David, CEA-LETI-MINATEC, France, V. Arnal, C. Verove, STMicroelectronics, France, O. Joubert, LTM-CNRS, France