AVS 56th International Symposium & Exhibition
    Plasma Science and Technology Monday Sessions
       Session PS1-MoM

Paper PS1-MoM5
Sidewall Modification of Porous SiOCH Ultra Low k Materials Induced by Reducing and Oxidizing Post Etching Plasma Treatments

Monday, November 9, 2009, 9:40 am, Room A1

Session: Advanced Interconnect Etch
Presenter: R. Bouyssou, CNRS-LTM, FRANCE
Authors: R. Bouyssou, CNRS-LTM, FRANCE
T. Chevolleau, CNRS-LTM, France
N. Posseme, CEA-LETI-MINATEC, France
T. David, CEA-LETI-MINATEC, France
Ch. Licitra, CEA-LETI-MINATEC, France
A. Ostrowsky, STMicroelectronics, France
C. Verove, STMicroelectronics, France
O. Joubert, CNRS-LTM, France
Correspondent: Click to Email

From 32 nm interconnect technology node and below, porous SiOCH (p-SiOCH) materials presenting a porosity higher than 25% and a dielectric constant lower than to 2.5 are introduced. However the porosity introduction leads to complex integration issues. One of them is the high sensitivity of porous materials to radical species generated by the plasma during etching and ashing processes. An other serious issue brought by the porosity is the possibility of metal diffusion into the dielectric during conformal metallic barrier deposition. In order to surmount those issues, the optimization of post etching plasma treatments (PET) using reducing and oxidizing chemistries present some interest since such treatments can be efficient not only as post-etching cleaning processes of the sidewall patterns and reactor walls but also potentially as “pore sealing-like” processes to prevent metal barrier diffusion.

In this work, plasma induced modifications of p-SiOCH sidewalls have been investigated using volume and surface analyses techniques such as ellipsometric porosimetry (EP), infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS) and HF decoration techniques (resin encapsulation followed by a HF dip). After p-SiOCH patterning (porosity of 27% and k=2.35) using a TiN hard mask, p-SiOCH structures are exposed to in situ PET using different chemistries (NH3, H2, CH4 or O2) in a dual frequency capacitive reactor. The NH3, H2, CH4 or O2 treatments are first optimized on blanket wafers with the objective to minimize p-SiOCH modifications, i.e mainly methyl depletion and moisture uptake.

After etching in CF4 / C4F8 / N2 / Ar plasma, chemical topography analyses by XPS show the presence of a fluorocarbon (FC) layer on the p-SiOCH sidewalls. The FC layer is always removed whatever the post-etching plasma treatments and the surface of p-SiOCH sidewalls trenches is carbon and nitrogen rich after the CH4 based PET and SiOF like after the NH3, H2 and O2 PETs. The HF decoration technique and EP (with water used as solvent) show that the modified surface of the sidewalls is hydrophilic (thickness of the modified sidewall layer is between 15 and 20 nm) after the NH3, H2 and O2 PETs while only a slight modification of the pattern sidewalls is detected after CH4 based PET (less than few nanometers). The mechanisms leading to the p-SiOCH sidewall modification (pore sealing, methyl depletion...) induced by the plasma will be analyzed through the EP and FTIR analyses . The capabilities of such post-etching plasma treatments to prevent the barrier diffusion into p-SiOCH will be also discussed.