AVS 55th International Symposium & Exhibition
    Plasma Science and Technology Monday Sessions

Session PS-MoM
Plasma Etching for Advanced Interconnects

Monday, October 20, 2008, 8:20 am, Room 304
Moderator: K. Bera, Applied Materials, Inc.


  Click here to Download program book for this session  
  in Adobe Acrobat format  

Click a paper to see the details. Presenters are shown in bold type.

8:20am PS-MoM1
Comparison Between Hybrid and Porous Dielectric Material (SiOCH) Integration Strategies for Interconnect Technologies
J. Ducote, STMicroelectronics, France, T. David, N. Posseme, CEA-LETI-MINATEC, France, T. Chevolleau, CNRS-LTM, France, S. Gall, A. Zenasni, V. Jousseaume, CEA-LETI-MINATEC, France, R.-L. Inglebert, UJF-LTM, France, C. Verove, STMicroelectronics, France, O. Joubert, CNRS-LTM, France
8:40am PS-MoM2
Etching Characteristics of Low-k SiOCH Films by Fluorocarbon Beams: Molecular Dynamics Study
A. Suzuki, M. Isobe, Osaka University, Japan, S. Kobayashi, M. Fukasawa, T. Tatsumi, Sony Corp., Japan, S. Hamaguchi, Osaka University, Japan
9:00am PS-MoM3 Invited Paper
Plasma Challenges of Porous SiOCH Patterning for Advanced Interconnect Levels
T. Chevolleau, CNRS/LTM France, T. David, N. Posseme, CEA/LETI/D2NT France, M. Darnon, CNRS/LTM France, F. Bailly, CEA/LETI/D2NT France, R. Bouyssou, CNRS/LTM France, J. Ducote, CEA/LETI/D2NT France, L. Vallier, O. Joubert, CNRS/LTM France
9:40am PS-MoM5
Plasma Damages on Organic Low-k Film due to VUV Radiation, UV Radiation, Radicals, Radicals with Radiation, and Ions in H2/N2 Plasma Etching Processes
K. Takeda, S. Takashima, R. Saito, S. Uchida, Nagoya University, Japan, M. Fukasawa, K. Oshima, K. Nagahata, T. Tatsumi, Sony Corporation, Japan, M. Hori, Nagoya University and JST-CREST, Japan
10:20am PS-MoM7
Impact of Reducing and Oxidizing Post Etching Plasma Treatments on Porous SiOCH Integration
R. Bouyssou, T. Chevolleau, CNRS-LTM, France, T. David, N. Posseme, CEA-LETI-MINATEC, France, J. Ducote, STMicroelectronics, France, L. Vallier, J. Joubert, CNRS-LTM, France
10:40am PS-MoM8
Effects of Plasma Etch and Ash Processes on Porous Low-k Film Surfaces in a Dual-Damascene Flow
C.B. Labelle, Advanced Micro Devices, Inc., D. Horak, IBM Research, Y. Zhou, A. Li, K. Zhou, C. Zhang, R. Patz, A. Darlak, J. Pender, Applied Materials, Inc.
11:00am PS-MoM9
Mechanisms of Residue Formation on TiN Hard Mask after Patterning of Porous SiOCH Films in Fluorocarbon-Based Plasma
N. Posseme, CEA-LETI, France, T. Chevolleau, R. Bouyssou, LTM-CNRS, France, T. David, CEA-LETI, France, V. Arnal, N. Jourdan, S. Doloy, C. Verove, ST Microelectronics, France, O. Joubert, LTM-CNRS, France
11:20am PS-MoM10
BEOL Pattern Flop Over as a Challenge to Shrink Feature Critical Dimension Continuously
Y. Yin, J.C. Arnold, IBM Corporation, T. Sparks, Freescale Corporation, P. Basler, S. Schmitz, IBM Corporation