AVS 64th International Symposium & Exhibition
    Thin Films Division Thursday Sessions
       Session TF-ThM

Paper TF-ThM10
Toward Area Selective Atomic Layer Deposition on Co, W and Ru Metal/Silicon Patterns

Thursday, November 2, 2017, 11:00 am, Room 21

Session: Area-selective Deposition and Infiltration Growth Methods
Presenter: Dara Bobb-Semple, Stanford University
Authors: D. Bobb-Semple, Stanford University
S.F. Bent, Stanford University
Correspondent: Click to Email

Modern electronic devices have achieved significantly smaller sizes and increased structural complexity in recent years. Device fabrication today is based on ‘top-down’ processes with multiple lithography and etching steps which serve as a bottleneck as well as a source of errors for device miniaturization. Area-selective atomic layer deposition (AS-ALD), which combines a surface modification technique and atomic layer deposition (ALD) in a ‘bottom-up’ approach to nanopatterning,shows great promise in addressing these issues. Most work on AS-ALD typically uses tightly-packed, self-assembled monolayers (SAMs) to modify the substrate surface and block ALD. Past studies have demonstrated AS-ALD on Si/SiO2 substrates patterned with SAMs and, more recently, our group has demonstrated AS-ALD using octadecylphosphonic acid SAMs and alkanethiol SAMs on Cu/SiO2 substrates.

Incorporating the AS-ALD process into any fabrication scheme requires understanding how SAMs interact with different materials. In this work, we have extended our previous studies on Cu substrates to perform comparative studies on the formation of octadecylphosphonic acid and alkanethiol SAMs on three metal substrates: Co, W and Ru. After SAM deposition, model metal (Pt) and metal oxide (ZnO) ALD processes were performed to assess the quality and blocking ability of the SAM layer on the different substrates. X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy, X-ray reflectivity, water contact angle (WCA) goniometry, and atomic force microscopy were used to characterize modified samples before and after ALD.

Overall, we observed that the most passivating SAMs of both alkylphosphonic acids and alkanethiols are consistently formed on Co and Ru; SAMs formed on W are less well-packed and hence less reliable in blocking ALD. In fact, XPS results did not show evidence that a SAM was formed on the W substrate following deposition of ODPA in t-butanol, even though increased WCA was observed. Moreover, the solvent was found to play an important role. We found that after a 48h deposition, the Co and Ru substrates passivated using ODPA in t-butanol showed WCA 5° higher on average than when passivated in a toluene solution. Conversely, W substrates showed WCAs < 90° when t-butanol was used as a solvent, but >100° when toluene was used. These results may be explained in terms of the poor Lewis acid character of the W substrate and may indicate a larger role of solvent-substrate interactions in SAM deposition. These studies provide insights that are important for consideration in the development of fabrication processes which incorporate SAMs for AS-ALD.