AVS 64th International Symposium & Exhibition
    Plasma Science and Technology Division Tuesday Sessions
       Session PS-TuM

Paper PS-TuM2
Anisotropic and Selective Isotropic Etching of Si / SiGe Multilayers in Surface Wave Plasmas

Tuesday, October 31, 2017, 8:20 am, Room 23

Session: Advanced FEOL/Gate Etching
Presenter: Nick Joy, TEL Technology Center, America, LLC
Authors: N. Joy, TEL Technology Center, America, LLC
S.A. Voronin, TEL Technology Center, America, LLC
P. Biolsi, TEL Technology Center, America, LLC
A. Ranjan, Tokyo Electron Miyagi Limited, Japan
Correspondent: Click to Email

As the feature size of planar devices reaches some fundamental limitations, the continuing drive to increase device density has led to new 3D designs such as fin FETs, nanowire, and vertical FET designs. These innovations bring their own set of challenges for etch applications. While planar devices relied more on anisotropic etching, 3D devices require more isotropic etch capabilities with high selectivity between different materials. For example, one strategy to form nanowire channels is to use multilayered Si/SiGe films that are etched vertically with an anisotropic method to define the width of the wire, and then etched laterally with a selective process that leaves isolated nanowires and allows for deposition of wrap-around gates. Such processes may require either Si selective or SiGe selective isotropic etch capabilities. These abilities have been demonstrated with a RLSATM plasma etch chamber. Having spatially separated plasma generation and plasma processing regions, RLSATM etchers benefit from a very low electron temperature (Te~1eV) and low self-bias voltage (i.e. low ion energy) radical-rich discharge. These conditions allow both isotropic and anisotropic selective etching of different materials.

Whether the process is selective to SiGe or Si depends on the chemistry. Generally, it is easier to etch SiGe selective to Si using fluorocarbon plasmas. The dependency of SiGe recess profiles on pressure, power, and non-fluorinated gas addition show trends that are essentially non-selective to highly SiGe (30% Ge) selective using CF4 based processes. Si selective processes are more difficult to achieve and are sensitive to specific process parameters. However, it is possible to reverse selectivity from Si:SiGe < 1 to Si:SiGe > 1 using SF6 based processes. While the etch mechanism is due to fluorine radicals in both cases, Si:SiGe < 1 may be the result of either lower bond energy of Si-Ge compared to Si-Si, or band gap narrowing with Ge addition[1]. For Si:SiGe > 1, the etch rate of SiGe is inhibited with SF6 gas under the right process conditions, which may be due to preferential deposition of an involatile sulfur blocking layer [2]. This work demonstrates the range of selectivity and isotropic etch capabilities between Si and SiGe using RLSATM.

[1] S. Borel, V. Caubet, J. Bildea, A. Cherif, C. Arvet, C. Vizioz, J.M.Hartmann, G.

Rabillé and T. Billona. ECS Transactions, 3 (7) 627-642 (2006)

[2] G. S. Oehrlein, T. D. Bestwick, P. L. Jones, M. A. Jaso, and J. L. Lindstrorn. J. Electrochem. Soc. 138, 1443 (1991).