AVS 62nd International Symposium & Exhibition
    Thin Film Thursday Sessions
       Session TF+EM+NS+PS+SM-ThM

Invited Paper TF+EM+NS+PS+SM-ThM10
Status and Prospects of Plasma-Assisted Atomic Layer Deposition

Thursday, October 22, 2015, 11:00 am, Room 114

Session: Plasma ALD and Nano-applications
Presenter: Harm Knoops, Oxford Instruments Plasma Technology, UK
Authors: H.C.M. Knoops, Oxford Instruments Plasma Technology, UK
W.M.M. Kessels, Eindhoven University of Technology, Netherlands
Correspondent: Click to Email

Plasma-assisted atomic layer deposition (ALD) or plasma ALD has established itself as a prominent branch in ALD processing and a wide range of plasma ALD processes are currently available. Due to the complexity of plasmas, plasma ALD is different from thermal ALD in various aspects. Even though the main relevant species in plasmas have been identified,1 the effects of plasma chemistry and plasma-surface interaction need further study. In this contribution an overview on the status of plasma ALD is given and the key prospects for plasma ALD are highlighted.

Regarding the current understanding of plasma ALD, three subjects will be treated. First the basic plasma species (i.e., radicals, electrons, ions, and photons) and their role in plasma ALD will be discussed. For instance, potential damage to the surface from photons, but also cases where plasma species can repair defects (e.g., N2 plasmas on GaN surfaces).2 Second plasma chemistry and potential poisoning or inhibition processes will be treated, which can play a big role in the ALD of nitrides and conductive films. Third, dissociation in the plasma of reaction products can lead to redeposition effects which can have a large influence on for instance SiNx and TaNx ALD.

Several topics will be discussed regarding the prospects for plasma ALD. Even though plasma ALD provides additional possibilities, many cases exist where material properties or cycle times are still unsatisfactory and advances in reactor design such as the capability to provide additional energy in the form of a controlled ion bombardment are needed. In addition, advanced processing schemes such as 3-step ABC ALD cycles can be beneficial as shown by ALD of noble metals at low deposition temperatures (e.g., Pt ALD).3 Whether metal films initially grow as continuous films or as nanoparticles (as well as the particle size), will depend on the chemistry, the surface energy, and the growth temperature. Recently, plasma ALD has shown to allow ALD of Ag by spatial ALD at high pressure.4 This case shows that more understanding of the plasma is needed because of an unexpected decreased growth at long plasma exposures (presumably caused by NH3 poisoning). In general, increasing control of the plasma and understanding of the relevant processes at the surface and in the plasma will be key to further develop plasma ALD.

1 Profijt et al., JVST A29, 050801 (2011)

2 Chen et al., Phys. Status Solidi A (2014) / DOI 10.1002/pssa.201431712

3 Mackus et al., Chem. Mater.25, 1769 (2013)

4 Van den Bruele et al., JVSTA33, 01A131 (2015)