AVS 62nd International Symposium & Exhibition
    Electronic Materials and Processing Monday Sessions
       Session EM+NS+PS-MoA

Paper EM+NS+PS-MoA2
Surface Passivation for ALD-Al2O3/SiGe MOS Devices

Monday, October 19, 2015, 2:40 pm, Room 210E

Session: More Moore! II
Presenter: Liangliang Zhang, Stanford University
Authors: L. Zhang, Stanford University
V. Hassan, Applied Materials Inc.
C. Lo, Applied Materials Inc.
C. Olsen, Applied Materials Inc.
M.A. Foad, Applied Materials Inc.
P.C. McIntyre, Stanford University
Correspondent: Click to Email

We report a study of passivation of the SiGe surface, a critical challenge for future SiGe MOSFET technology. Epitaxially grown p-type SiGe films on lightly doped Si substrates are investigated. The layered surface structures of native oxide coated, as-received SiGe samples are characterized using soft x-ray synchrotron photoemission electron spectroscopy (PES). It is observed that the surface of as- received SiGe wafers have a mixed SiOx/GeOx oxide layer. Angle-resolved PES shows that this layer is SiOx-rich at the top surface and GeOx-rich below. Lab source x-ray photoelectron spectroscopy (XPS), hard x-ray PES and x-ray reflectivity (XRR) are used to characterize the interface region between atomic layer deposited (ALD) Al2O3 gate dielectrics and SiGe. Prior to ALD, 2% HF(aq) solution is used to remove the surface oxides, and a high quality Al2O3 layer on the SiGe substrate is deposited with the help of efficient sites for Al(CH3)3 (TMA) precursor adsorption produced by H2O oxidant pre-dosing of the SiGe surface immediately prior to the TMA/H2O ALD process. It is observed from XPS and PES that there is an increase of the SiOx peak intensity after Al2O3 deposition, while there is little or no detectable Ge core level feature associated with GeOx. The thermodynamic preference of Si (compared to Ge) atoms bonding to oxygen agrees well with the identity of the layered oxide structures extracted by fitting measured XRR data from the processed samples.

Both Pt, a metal that is a known catalyst for H2 dissociation, and Al are investigated as gate metals for ALD-Al2O3/SiGe MOS capacitors (MOSCAPs) subjected to post metal forming gas (5% H2/95% N2) anneal (FGA). The effects of the identity of the gate metal on post-FGA interfacial oxide composition and interface trap response is studied. Capacitance-voltage analysis of Al/Al2O3/p-SiGe MOSCAPs detects minimal frequency dispersion in depletion and accumulation. The extracted density of interface traps is peaked near the valence band, with a maximum value of ~ 3x1011 (eV-1cm-2).