AVS 62nd International Symposium & Exhibition
    Spectroscopic Ellipsometry Focus Topic Thursday Sessions
       Session EL+AS+BI+EM-ThA

Invited Paper EL+AS+BI+EM-ThA6
Spectroscopic Ellipsometry for Critical Dimensions Analysis

Thursday, October 22, 2015, 4:00 pm, Room 112

Session: Optical Characterization of Nanostructures and Metamaterials
Presenter: Vimal Kamineni, GLOBALFOUNDRIES
Authors: V.M. Kamineni, GLOBALFOUNDRIES
D. Dixit, SUNY Polytechnic Institute
S. O’Mullane, SUNY Polytechnic Institute
G. Iddawela, GLOBALFOUNDRIES
A. Vaid, GLOBALFOUNDRIES
A.C. Diebold, SUNY Polytechnic Institute
Correspondent: Click to Email

In this talk an overview of the current applications of spectroscopic ellipsometry (SE) towards measuring the shape of nanostructures will be presented. The transition of the semiconductor industry from planar to 3D transistors has expanded the applications of ellipsometry. Ellipsometry measurements on the periodic nanoscale structures enable a diffraction based measurement technique referred to as scatterometry. The critical dimensions can be extracted by means of a regression on the diffracted light using rigorous coupled wave analysis (RCWA). RCWA is a Fourier-space method used to generate the optical response by slicing the periodic structure of interest and matching the boundary conditions to compute EM modes. This method is inherently dependent on a priori knowledge of the dielectric function of the materials that construct the nanostructures as well as the shape of the nanostructure obtained from reference metrology. Furthermore, time-to-solution is one of the main drawbacks of developing scatterometry applications due to the dependency on developing a robust model and for validating the model with reference metrology measurement. To address these challenges new methods such as signal response metrology (SRM) encompassing machine-based statistical learning and virtual reference metrology have been proposed. [1,2] These methods will be reviewed along with their benefits and limitations when applied to advanced 3D transistor structures. In addition, application of Mueller matrix ellipsometry measurements on strained grating structures (SiGe/Si) and block copolymer structures to determine the impact of strain and defectivity (bridging defects, wiggles, LER, etc.) on anisotropy coefficients will be presented, respectively. [3,4] Additionally, hybrid approaches will be proposed in conjunction with complementary/supplementary metrology methods (CD-SEM, HRXRD and CD-SAXS). [5-7]

[1] S. Pandev et al., SPIE Proc. 9424 (2015).

[2] A. Vaid et al., SPIE Proc. 9424 (2015).

[3] G. R. Muthinti et al., SPIE Proc. 8681 (2013).

[4] D. J. Dixit et al., Journal of Micro/Nanolithography, MEMS, and MOEMS 14, 021102 (2015).

[5] A. Vaid et al., SPIE Proc. 8324 (2012).

[6] A. C. Diebold et al., Proceedings of SPIE 8681, 86810I (2013).

[7] Charles Settens et al., Journal of Micro/Nanolithography, MEMS, and MOEMS 13, 041408 (2014)