AVS 57th International Symposium & Exhibition
    Advanced Surface Engineering Monday Sessions
       Session SE+PS-MoM

Invited Paper SE+PS-MoM5
Atmospheric-pressure Plasma Activation of Silicon and Glass Surfaces for Low-Temperature Direct Bonding

Monday, October 18, 2010, 9:40 am, Room Cimmaron

Session: Atmospheric Pressure Plasmas
Presenter: C.-P. Klages, Fraunhofer Institute for Surface Engineering and Thin Films (IST), Germany
Authors: C.-P. Klages, Fraunhofer Institute for Surface Engineering and Thin Films (IST), Germany
M. Eichler, Fraunhofer Institute for Surface Engineering and Thin Films (IST), Germany
B. Michel, Technische Universität Braunschweig / Institut für Oberflächentechnik (IOT), Germany
Correspondent: Click to Email

Low-temperature direct bonding of silicon wafers has been industrially established for several years now. To achieve a lowered annealing temperature required for sufficient bond strength from about 1000 °C to a few 100 °C only, low-pressure plasma treatment came into use more than 20 years ago. As shown at Fraunhofer IST more recently, also plasma activation at 1 bar is capable of reducing required annealing temperatures to around 100 °C while still achieving bond energies 2 to 3 times higher compared to RCA-cleaned reference wafer pairs.

Many questions concerning the key effects, responsible for lowering the required annealing temperature, are still under investigation, especially for the attractive atmospheric-pressure method. At IOT and IST, the effects of dielectric barrier discharge (DBD) treatments performed under a wide range of conditions at 1 bar pressure on the properties of native or thermal SiO2 layer on silicon wafers and on the achieved bond strength have been investigated in the recent years. The presentation will give an overview of the results from these investigations which were obtained using several surface analytical methods.

Recently, main interest has shifted from silicon to other materials and to alternative atmospheric-pressure plasma processes. With special gases used for the plasma activation, an increased bonding strength is also achievable for borosilicate glass bonding. However, while the mechanism of bonding enhancement in case of native oxide layers on Si can clearly be attributed to a surprisingly rapid growth of a porous oxide film, a convincing explanation for the effects achieved with glasses is still missing.

A common attribute of surface activation by DBD and low-pressure plasmas is a direct access of the plasma to the surface. By contrast, corona discharge makes use of the inhomogeneity of the electric field near a needle tip. Plasma zone and wafer are spatially separated and the electric field stress at the wafer surface is greatly reduced. Promising results of corona discharge treatment as an activation method for low-temperature wafer bonding have been obtained, indicating that relatively stable charged species play an important role. On the other hand, excimer UV radiation was virtually ineffective.

New insights into the kinetics of silanol condensation were also made possible by continuous measurements of the bonding strength in situ during annealing. Results of these studies show that the bond strength increase can be attributed to the expansion of bonded micro regions instead of statistical formation of siloxane bridges between the wafers.