AVS 61st International Symposium & Exhibition
    Electronic Materials and Processing Tuesday Sessions
       Session EM+2D-TuA

Paper EM+2D-TuA4
Combined Wet HF and Dry Atomic H Cleaning of SiGe followed by Passivation of the Clean Surface via H2O2(g) Dosing

Tuesday, November 11, 2014, 3:20 pm, Room 314

Session: High-k Dielectrics for Advance Semiconductor
Presenter: Sang Wook Park, University of California at San Diego
Authors: S.W. Park, University of California at San Diego
T. Kaufman-Osborn, University of California at San Diego
E.A. Chagarov, University of California at San Diego
A.C. Kummel, University of California at San Diego
Correspondent: Click to Email

Silicon Germanium (SiGe) is a well-known material for its high mobility and useful applications in strain engineering. Its advantages can be utilized to overcome the challenges when scaling down silicon-based devices. As the interest in introducing new kinds of materials increases, the cleaning and passivation methods also become more significant in order to provide uniform and clean surfaces, which would result in improved electrical properties such as high mobility and low interface trap density (Dit). In this study, combined wet and dry cleaning and passivation of SiGe(100) surface is discussed, using scanning tunneling microscopy (STM), scanning tunneling spectroscopy (STS), and x-ray photoelectron spectroscopy (XPS).

Wet cleaning using 2% hydrofluoric acid (HF) was implemented to strip the native oxide off the SiGe sample but left residual carbon contamination on the surface. Although the oxide layer was removed, additional oxygen adsorbed to the surface during transfer from the HF solution to vacuum chamber. This residual oxygen can be eliminated by keeping the SiGe sample covered in the HF cleaning solution until the sample is introduced to the vacuum chamber or by transferring the sample in an inert environment. Dry in-situ atomic hydrogen cleaning was then implemented to remove the carbon contamination on the surface. A post deposition anneal at 550˚C was used to obtain an atomically clean, flat, and ordered SiGe surface and this was verified using STM. The oxygen and carbon contaminant levels were monitored after each cleaning procedure using a monochromatic XPS. The clean SiGe sample was dosed at room temperature with a saturation dose of H2O2(g). STM and XPS measurements indicate that H2O2(g) dosing leaves the SiGe surface, which is mostly Ge atoms due to surface segregation, terminated with an ordered monolayer of Ge-OH sites. STS measurements of the Ge-OH sites show the conduction band edge dangling bond states are eliminated due to the passivating Ge–OH bonds, but the Fermi level is pinned near the valence band edge due to the large surface dipole. When the surface is annealed to 310°C, XPS measurements indicate that the –OH species on the surface break bonds with the Ge atom and bond instead to the Si atoms, raising Si atoms towards the surface. XPS also verifies that no oxygen leaves the surface due to the 310°C anneal. Instead, the oxygen remains on the surface in the form on Si-OH or SiOx species. TMA is subsequently dosed on the surface forming and ordered monolayer of Al-O-Si bonds. STS indicates this unpins the Fermi level, leaving an electrically passive ordered layer which serves as an ideal template for further high-k ALD.